在下面横线上填上合适的语句,完成 jk 触发器的设计。 说明:设计一个 异步 复位/置位jk触发器,其真值表如下: input output pset clr clk j k q 0 1 x x x 1 1 0 x x x 0 0 0 x x x 不定 1 1 上升沿 0 1 0 1 1 上升沿 1 0 1 1 1 上升沿 1 1 翻转 1 1 上升沿 0 0 保持 library ieee; use ieee.std_logic_1164.all; entity jkff1is port (pset,clr,clk,j,k ::in std_logic; q : out std_logic); end jkff1; architecturemaxpld of jkff1 is signal temp:std_logic; begin process(pset,clr,clk) begin if (pset='0'andclr='1' ) then temp<='1'; elsif (pset='1'andclr='0' ) then temp<='0'; elsif (pset='0'andclr='0' ) then null; _____ (clk'event and clk='1') then if (j='0'and k='0') then temp<=___ ; elsif (j='0'and k='1') then temp<=___; elsif (j='1'and k='0') then temp<=____; elsif (j='1'and k='1') then temp<= ____ ; end if; end if; end process; q<=temp; end ;